Vhdl

(Very high speed integrated circuit (VHSIC) Hardware Description Language) язык VHDL ЯВУ, разработан в 80-е годы по заказу МО США (стандарт IEEE 1076) в рамках проекта VHSIC по созданию высокоскоростной элементной базы. Имеет Ada-подобный синтаксис, позволяет описывать одновременные события, структуру системы, производить декомпозицию системы на подсистемы, моделировать работу системы и многое другое. Получил широкое распространение в САПР электронных схем, став стандартом де-факто. Имеет ряд расширений для работы с аналоговыми (VHDL-A) и смешанными сигналами (VHDL-AMS) Смотри также: ASIC, silicon compiler, Verilog, VHDL-T, VLSI

Англо-русский словарь компьютерных терминов

Vhdl

Very High Speed Integrated Circuit (VHSIC) Hardware Description Language. A large high-level VLSI design language with Ada-like syntax. The DoD standard for hardware description, now standardised as IEEE 1076.

Free Online Dictionary of Computing